CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - XOR vhdl

搜索资源列表

  1. uart766

    0下载:
  2. ---实现的部分VHDL 程序如下。   --- elsif clk1x event and clk1x = 1 then ---if std_logic_vector(length_no) >= “0001” and std_logic_vector(length_no) <= “1001” then -----数据帧数据由接收串行数据端移位入接收移位寄存器---rsr(0) <= rxda --- rsr(7 downto 1) <= rsr(6 down
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:157261
    • 提供者:766
  1. DE2_VGA3

    0下载:
  2. The VGA example generates a 320x240 diffusion-limited-aggregation (DLA) on Altera DE2 board. A DLA is a clump formed by sticky particles adhering to an existing structure. In this design, we start with one pixel at the center of the screen and allow
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1276567
    • 提供者:Donghua Gu
  1. alu

    0下载:
  2. 用VHDL实现8种运算的ALU,带鱼不带符号的加减乘除,与或异或和求反-Use VHDL to achieve the eight kinds of computing ALU, hairtail unsigned addition and subtraction, multiplication and division, with or XOR and seek anti-
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-21
    • 文件大小:1238
    • 提供者:helen
  1. CRC

    0下载:
  2. 通过对于模2除法的研究 可以得到如下方法: 1. 把信息码后面加上p-1位的0,这个试验中p是6位,即在输入的信息码后面加上“00000”。把这个17位的被除数放入input中。 2. 在得到被除数input之后,设计一个在被除数上移动的数据滑块变量d,把input中的最高位开始逐次复制给变量d。 3. 如果d的最高位为1,由变量d和变量p做异或运算;如果d的最高位为0则不运算或者做多余的异或‘0’的运算。 4. 把滑块变量d往后滑动一位。 5. 循环步骤(3,4)
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-26
    • 文件大小:6033
    • 提供者:lijq
  1. ALU

    0下载:
  2. 在Xilinx7.1平台下编写的ALU代码,可以实现五位加法、减法、与、异或四种运算!-Xilinx7.1 platform in the preparation of the ALU code, can be achieved five adder, subtraction, and, four computing XOR!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:1403
    • 提供者:梁晓炬
  1. vhdlcodes

    0下载:
  2. with this rar file i am sending five source codes in vhdl for xor gate,xor gate using tristae gate,electronic voting machine,mod 16 counter,jk flip flop.please accept these codes and make me member of this site.so that i can download code from this s
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:2153
    • 提供者:nitin
  1. xor

    0下载:
  2. 异或门的FPGA实现的verilog代码-xor FPGA realization of the verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-01-25
    • 文件大小:3099
    • 提供者:胡兵
  1. my_xor

    0下载:
  2. 异或门,Verilog实现,包含实验说明文档。-XOR gate, Verilog implementation, including test documentation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:893825
    • 提供者:姚成富
  1. communications_1

    1下载:
  2. 用vhdl代码描述的通信系统仿真程序。包括信源(20位m序列),crc编码(采用串行算法),加噪(用22位m序列产生稀疏的1,然后和编码后的数据异或)。-Vhdl code with the simulation program described in the communication system. Including the source (20 m sequence), crc code (using the serial algorithm), noise (with 22 m se
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:483636
    • 提供者:李修函
  1. communications_2

    2下载:
  2. 用vhdl代码描述的通信系统仿真程序。包括信源(20位m序列),crc编码(采用串行算法),加噪(用22位m序列产生稀疏的1,然后和编码后的数据异或),crc解码,数据串行输出。 -Vhdl code with the simulation program described in the communication system. Including the source (20 m sequence), crc code (using the serial algorithm), no
  3. 所属分类:VHDL编程

    • 发布日期:2013-04-08
    • 文件大小:120498
    • 提供者:李修函
  1. XOR

    0下载:
  2. vhdl code for XOR gate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:9350
    • 提供者:vasu
  1. sdsdsd

    0下载:
  2. Cpu 8bit. Vorks good. Taking all instructions, sdo OR Xor and athor... Is registers
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:7869
    • 提供者:kaktusasturbo
  1. xor

    0下载:
  2. Xor gate implementation in vhdl.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:5933
    • 提供者:aryan
  1. xor

    0下载:
  2. this the vhdl code for exor gate using dataflow modelling-this is the vhdl code for exor gate using dataflow modelling
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:7780
    • 提供者:nagaraju
  1. xor

    0下载:
  2. implementation of XOR gate in VHDL with rtl view and simulations
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-08
    • 文件大小:23161
    • 提供者:roby
  1. xors_1

    0下载:
  2. this xor gate in vhdl run under active hdl-this is xor gate in vhdl run under active hdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-01
    • 文件大小:12088
    • 提供者:sag
  1. vhdl

    0下载:
  2. library ieee use ieee.std_logic_1164.all entity decoder is port (clk:in std_logic clr:in std_logic data_in:in std_logic --待解码信元输入端; data_out:out std_logic) --解码信元输出端; end decoder architecture behave of decoder is component dff2
  3. 所属分类:software engineering

    • 发布日期:2017-11-14
    • 文件大小:378333
    • 提供者:刘轩赫
  1. XOR

    0下载:
  2. IT IS A GOOD CODE IN VHDL FOR XOR GATE
  3. 所属分类:Hook api

    • 发布日期:2017-03-24
    • 文件大小:230645
    • 提供者:guru
  1. bhsvhdl

    0下载:
  2. I uploaded vhdl progrgrams on AND gate, JK flip flop,OR gate, Xor gate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2453
    • 提供者:Bhaswati Mandal
  1. VHDL-projects

    0下载:
  2. I have simple five VHDL projects. I use FPGA Spartan3A family board with XC3S50A FPGA chip. This project was created in Xilinx ISE Design Suite version (13.2).It contains divider,XOR blocks, counters, moore automat and more.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1505617
    • 提供者:Jaroslav
« 12 »
搜珍网 www.dssz.com